Authors
Arkaprava Basu, Jayneel Gandhi, Jichuan Chang, Mark D Hill, Michael M Swift
Publication date
2013/6/23
Journal
ACM SIGARCH Computer Architecture News
Volume
41
Issue
3
Pages
237-248
Publisher
ACM
Description
Our analysis shows that many "big-memory" server workloads, such as databases, in-memory caches, and graph analytics, pay a high cost for page-based virtual memory. They consume as much as 10% of execution cycles on TLB misses, even using large pages. On the other hand, we find that these workloads use read-write permission on most pages, are provisioned not to swap, and rarely benefit from the full flexibility of page-based virtual memory.
To remove the TLB miss overhead for big-memory workloads, we propose mapping part of a process's linear virtual address space with a direct segment, while page mapping the rest of the virtual address space. Direct segments use minimal hardware---base, limit and offset registers per core---to map contiguous virtual memory regions directly to contiguous physical memory. They eliminate the possibility of TLB misses for key data structures such as database buffer …
Total citations
2013201420152016201720182019202020212022202320248163743544539503327418
Scholar articles
A Basu, J Gandhi, J Chang, MD Hill, MM Swift - ACM SIGARCH Computer Architecture News, 2013